2009年10月26日 星期一

英漢無損檢測專業詞匯匯編 - 夏紀真 編

英漢無損檢測專業詞匯匯編 - 夏紀真 編
From A-Z.


A
a cluster of flaws 密集區缺陷
ablution 清洗
Absorbed dose 吸收劑量
Absorbed dose rate 吸收劑量率
A.C magnetic saturation 交流磁飽和
acceptance specification 驗收規范
Acceptanc limits 驗收范圍
Acceptance level 驗收水平 驗收標准
Acceptance standard 驗收標准
Accessories 附件 配件 輔助設備 輔助器材
Accumulation test 累積檢測
Accuracy 精確度 准確度
acetone 丙酮
Acoustic emission count 聲發射計數
Acoustic emission transducer 聲發射換能器
Acoustic emission (AE) 聲發射
Acoustic holography 聲全息朮
Acoustic impedance 聲阻抗
Acoustic impedance matching 聲阻抗匹配
Acoustic impedance method 聲阻法
Acoustic wave 聲波
Acoustic-ultrasonic 聲-超聲(AU)
Acoustical lens 聲透鏡
across 交叉 橫過
Activation 活化
Activity 活度
Adequate shielding 適當防護、適當屏蔽
address: 地址:
additional stress 附加應力
AE 聲發射
air header 集氣管
air set 空氣中凝固, 常溫自硬 自然硬化
air supply 氣源
aisle 過道 走廊
alkaline battery 鹼性電池
alarm level 報警電平
alarm condition 報警狀態
Alignment 對准 定位調整 校直
allowable variation 允許偏差 容許變化
alternating current 交流電
aluminum powder 鋁粉
amount 數量
Ampere turns 安匝數
amplifier panel 放大器面板
Amplitude 振幅、幅度
anchor bolt 錨定螺栓 地腳螺栓
analyzer 分析器
Angle beam method 斜射法、角波束法
Angle beam probe 斜探頭、角探頭
Angle of incidence 入射角
Angle of reflection 反射角
Angle of spread 擴散角
Angle of squint 偏向角、偏斜角
Angle probe 斜探頭、角探頭
Angstrom unit 埃(長度單位)
angle square 角尺
angle iron 角鋼 角鐵
angle steel 角鋼
angle fitting 彎頭
appearance 外觀
application drawing 操作圖 應用圖
arc welding 電弧焊
arc cutting 電弧切割
arc gouging 電弧刨削
arc starting 起弧
Area amplitude response curve 面積振幅響應曲線
Area of interest 評定區、關注區域
argon arc welding 氬弧焊
Arliflcial disconlinuity 人工不連續性、人工缺陷
arrangement diagram 布置圖
arrival time interval(Δtij) 到達時間差(Δtij)
Artifact 人為缺陷
Artificial defect 人工缺陷
A-scan A型掃描
A-scope A型顯示
assembly 裝配
At present 目前
Attenuation coefficient 衰減系數
Attenuator 衰減器
Audible leak indicator 音響泄漏指示器
Automatic testing 自動檢測
Autoradiography 自動射線照相朮
automatic temperature recorder 溫度自動記錄器
axonometric drawing 軸測圖
axiality 同軸度 軸對稱性



B
back-feed 反饋
background 背景 本底
background target 目標本底
backwall echo 底波 底面回波
baiting valve 放料閥
banjo fixing 對接接頭
band plate 帶板
Barium concrete 鋇混凝土
Barn 靶
Base fog 片基灰霧
Bath 槽液、浴池、槽
base material 基底材料
basic sensitivity 基准靈敏度
Bayard-Alpert ionization gage B-A型電離計
Beam 聲束、光束
Beam ratio 光束比
Beam angle 波束角、束張角
Beam axis 聲束軸線
Beam path location 聲程定位、聲束路徑位置
Beam path 聲程、聲束路徑
Beam spread 聲束擴散
bellow type 波紋管式
beveled edges 坡口
Bending 撓曲 彎曲
beveling 磨斜棱 磨斜邊 成斜角
bending deformation 撓曲變形 彎曲變形
Betatron 電子感應加速器
Bimetallic strip gage 雙金屬片計
Bid 投標 出價
bend 彎管彎頭 彎曲
Bipolar field 雙極磁場
Black light filter 黑光濾波器、黑光過濾片
bisectrix 等分線
Black and White Transmission Densitometer 黑白透射密度計
Black light 黑光,紫外光
Blackbody 黑體
Blackbody equivalent temperature 黑體等效溫度
Bleakney mass spectrometer 波利克尼質譜儀
blowhole 噴水孔 通風孔 通氣孔 (氣)孔 鑄孔 砂[氣]眼 氣泡
Bleedout 滲出、漏出
blind 擋板 窗帘 blinding plate 盲板
blind plate 隔離盲板 盲板
block up 封堵 墊高
bolt 螺栓 螺釘
bolton 螺栓緊固
bonding wire 接合線 焊線
boost pressure 升壓
bottom plate 底板
Bottom echo 底波 底面回波
both sides welding 雙面焊接
Bottom surface 底面
Boundary echo 邊界回波、界面回波
Brand name 商標名稱 品牌
branch connection 分支接續 分支連接
Bremsstrahlung 軔致輻射
Broad-beam 寬射束 寬(聲、光)束
bridge 橋
breaking of contact 斷接卡
Brush application 刷涂
B-scan presentation B型掃描顯示
B-scan B型掃描 B掃描
B-scope B型顯示
buckling deformation 翹曲變形
buckle 滿扣 扣住
buried depth 埋深
butt joint 對接
butt jointing 對接接頭
burn through 燒蝕 燒穿
butt weld 對接焊縫
butt welding 對接焊
bus duct 母線槽



C
C-scan C型掃描 C掃描
C-scope C型顯示
C-shape detector C型探測器(X射線實時成像)
cable fitting電纜配件
cable routing電纜路由選擇
cable gland 電纜襯墊
cable tray 電纜盤
cable armor 電纜鎧裝
Cable sheath 電纜包皮層
cable testing bridge 電纜測試電橋
cable laying 電纜敷設
cable channel 電纜槽 電纜管道
cable trunk 電纜管道 電纜主干線
Capping ends 頂蓋末端
calculation sheet 計算書
calorimeter 熱量計
Calibration instrument 校准儀器
Capillary action 毛細管作用
Calibrated Density Reference Scale 標准密度校驗片
carbon steel tube 碳鋼管
carbon steel 碳鋼
Carrier fluid 載液
Carry over of penetrate 滲透劑轉入
cascade connection 串聯連接
Cassette 暗盒
Cathode 陰極
cathodic protection system 陰極保護系統
caulking metal 填隙合金[金屬](材料)
CCD Camera 電荷耦合攝像機
center line 中心線
center plate 中心板 撥盤
centralized 集中的
cement lined piping 水泥襯里管線
channel bases溝渠基底
center bearing bracket 中心支架
certification of fitness 質量合格証書
Charge Coupled Device 電荷耦合器件(簡稱CCD)
Central conductor 中心導體 中線(三相四線制)
Central conductor method 中心導體法
centripetal canting pull rope 向心斜拉索
channel steel expansion ring 槽鋼脹圈
Characteristic curve 特性曲線
Characteristic curve of film 膠片特性曲線
Characteristic radiation 特征輻射 標識輻射
Chemical fog 化學灰霧
check against 檢查, 核對
chipping 修琢
check valve 止回閥
chronometer精密計時表
Ci 居里
Cine-radiography 射線(活動)電影攝影朮 連續射線照相
Circuit breaker斷路開關
circular array 圓形陣列
circumferential weld 環焊縫
Circumferential coils 圓環線圈
Circumferential field 周向磁場 環形磁場
Circumferential magnetization method 周向磁化法
circumferential joint 周圈接縫
civil engineer 土木工程師
civil works 土建工程 建筑工程
Clean 清理
clamp 夾鉗
clamping fixture 胎具 夾具
Clean-up 清除
Clearing time 定透時間
coaxial cable 同軸電纜
cockle stairs 螺旋梯
Coercive force 矯頑力
Coherence 相干性
Coherence length 相干長度(諧波列長度)
Coil test 線圈試驗
Coil size 線圈尺寸
Coil spacing 線圈間距
Coil technique 線圈技朮 線圈法
Coil method 線圈法
Coil reference 線圈參考(參照線圈)
Coincidence discrimination 符合性鑒別 一致性鑒別
cold lap 冷隔
Cold-cathode ionization gage 冷陰極電離計
collar extension 環口
Collimation 准直
Collimator 准直器
collision 碰撞 沖突
color identification 彩色識別
Combined colour contrast and fluorescent penetrant 著色熒光滲透劑
commencement 開始
component part 構件 (組合)零件 部件
Compressional wave 壓縮波
Compressed air drying 壓縮空氣干燥
comprehensive analysis and judgement 綜合分析判斷
compression pump 壓縮機 壓氣機[泵]
compression joint 壓接 壓力接合
comply with 遵守
company 公司
Compton scatter 康普頓散射
Computed Radiography(CR)計算機輔助射線成像技朮
condensation 冷凝
conduit box [電]導管分線匣
conducting wire 導線
conductive paste 導電膏
conduit outlet 電線引出口
conduit entry 導管引入裝置
connector 接線器 連接器
Console 控制台
consumable insert (焊接)自耗嵌塊
consumer 用戶
construction work 施工工程
content gauge 液位計
contactor 觸頭 接觸器 觸點 開關
Contact pads 接觸墊
Continuous emission 連續發射
Continuous linear array 連續線性陣列
Continuous method 連續法
Continuous spectrum 連續譜
Continuous wave 連續波
Continuously Welded (CW) 連續焊
Contrast stretch 對比度擴展
Contrast 對比度 襯度
Contrast agent 對比劑 造影劑
Contrast aid 反差增強劑
Contrast sensitivity 對比靈敏度
Control echo 控制回波
Copper intensifying screens 銅增感屏
control 控制 控制器
control wiring 控制線路
control cable控制電纜 操縱索
control console 控制台
control unit 控制單元
control valve actuator 閥控傳動機構
control valve控制閥
convexity 凸面
convenience receptacle 電源插座
core rod 芯棒
corresponding 相應的
cotton fibre 棉質纖維
Couplant 耦合劑
Coupling 耦合
Coupling medium 耦合介質
Coupling losses 耦合損失
CR(Computed Radiography)計算機輔助射線成像技朮
Cracking 破裂 裂紋 裂化 裂解
Creeping wave 爬波 蠕變波
crate 板條箱 柳條箱
crater crack (焊接)弧坑裂紋
Critical angle 臨界角
Cross section 橫截面
Cross talk 串音
Cross-drilled hole 橫孔
crossed yoke 交叉磁軛
Crystal 晶片 晶體
Curie point 居里點
cubicle 室,箱
cushion 墊層 襯墊
Curie temperature 居里溫度
Curie(Ci) 居里
Current flow method 通電法 電流法
Current induction method 電流感應法
current attenuation 電流衰減
Current magnetization method 電流磁化法
Cut-off level 截止電平
Cutting 切割
cutting opening 切孔 切開
CW (Continuously Welded) 連續焊

D
Dark room packing 暗室包裝(在暗室條件下將X射線膠片裝入暗盒)
data logger 數據記錄器
datum mark 基准點
Dead zone 盲區 死區
Decay curve 衰變曲線
Decibel(dB) 分貝
Defect 缺陷
Defect resolution 缺陷分辨力
defect evaluation zone 缺陷評定區
Defect detection sensitivity 缺陷探測靈敏度
Definition 清晰度 定義
delivery 發貨
Demagnetization 退磁
Demagnetization factor 退磁因子 退磁系數
Demagnetizer 退磁裝置 退磁器
Densitometer 黑度計 密度計
Density 黑度(底片)密度
Density Strip 黑度比較片 密度比較條
Density comparison strip 黑度比較片 密度比較條
depth scan 深度掃描
description 說明 描述
design pressure 設計壓力
Detecting medium 檢驗介質
Detergent remover 洗淨液 去垢劑
Developer 顯像劑 顯影劑
Developer station 顯像工位 顯影台
Developer aqueous 水性顯像劑
Developer dry 干式顯象劑
Developer liquid film 液膜顯象劑
Developer nonaqueous(suspend) 非水(懸浮)顯象劑
Developing time 顯像時間 顯影時間
Development 顯影
differential discriminator (電子)差動式鑒頻器
Diffraction mottle 衍射斑點 衍射斑紋
Diffuse indications 擴散指示
Diffusion 擴散 漫射
Digital image acquisition system 數字圖像采集系統
Digital display 數字顯示 數顯
Dilatational wave 膨脹波 疏密波
Digital timer for darkroom 暗室用計時器(在暗室中可調及報警)
Digital Thermometer 數字溫度計(用于測定膠片處理液的溫度)
dimensional inspection 尺寸檢驗
digital detector 數字探測器(X射線實時成像)
Digital Radiography(DR)數字射線成像技朮
Dip and drain station 浸漬和流滴工位 浸漬與滴落台
Direct contact magnetization 直接接觸磁化
Direct exposure imaging 直接曝光成像
Direct contact method 直接接觸法
directional beam 定向輻射(指定向輻射的工業X射線機)
Directivity 指向性
disassembly and assembly 拆裝
Discontinuity 不連續性
Distance-gain-size DGS曲線(距離-增益-尺寸曲線)(DGS德文為AVG)
Distance marker 距離標志
distribution board 配電盤, 配電屏
Dose equivalent 劑量當量
dosimeter 劑量計
Dose rate meter 劑量率計
Dosemeter 劑量計
Double crystal probe 雙晶探頭
Double probe technique 雙探頭法
doubleskin 重皮
dose 劑量
Double transceiver technique 雙發雙收法
Double traverse technique 雙光路技朮
down lead 引下線
DR(Digital Radiography)數字射線成像技朮
Dragout 廢酸洗液
Drain time 滴落時間 排液時間
drain 排水管 排水溝 排水道 排水
draught 氣流
drying 烘干
Drift 漂移
Dry method 干法
Dry powder 干粉
Dry technique 干法
Dry developer 干式顯像劑
Dry developing cabinet 干式顯像柜
Drying oven 干燥箱 干燥爐
Drying station 干燥工位 干燥台
Drying time 干燥時間
D-scan D型掃描
D-scope D型顯示
dual element transducers 雙晶探頭 雙晶片換能器
Dual search unit 雙探頭 雙探測裝置 雙探測器
Dual-focus tube 雙焦點(X射線)管
due date 到期日 預定日期
duplicate part 備件
Duplex-wire image quality indicator 雙線像質指示器 雙線像質計
Duplex wire type Image Quality Indicator 雙線型像質指示器 雙線像質計
Duration 持續時間
Dwell time 停留時間
Dye penetrant 著色滲透劑
dye penetrant examination 著色滲透檢驗
Dynamic leak test 動態泄漏檢測
Dynamic leakage measurement 動態泄漏測量
Dynamic range 動態范圍
Dynamic radiography 動態射線照相法



E
earth wire 接地線 地線
earthing device 接地裝置
earthing pole 接地極
earth resistance 接地電阻
Echo 回波
Echo frequency 回波頻率
Echo height 回波高度
Echo indication 回波指示
Echo transmittance of sound pressure 聲壓往復透過率
Echo width 回波寬度
echodynamic patterns 回波動態波型
Eddy current 渦流 渦電流
eddy current coil 渦流檢測線圈
Eddy current flaw detector 渦流探傷儀
Eddy current testing 渦流檢測
eddy current probe 渦流檢測探頭
Edge 邊緣 棱邊
Edge effect 邊緣效應
Edge echo 棱邊回波
Effective focus size 有效焦點尺寸
Effective depth penetration (EDP) 有效穿透深度 有效透入深度
Effective magnetic permeability 有效磁導率
Effective resistance 有效電阻
Effective permeability 有效磁導率 有效滲透率 相對滲透率
Effective reflection surface of flaw 缺陷有效反射面
Elastic medium 彈性介質
elbow 彎管接頭 管肘
Electric displacement 電位移
electric heat tracing 電伴隨加熱
electric force compounded grease 電力復合脂
electric pressure 電壓
electric machine 電機
electrified 帶電
Electrical center 電中心
Electrode 電極 電焊條
electrical panel 配電板,配電盤
electrical material電氣材料
electrical appliance 電器
Electronic scanning (E-scans) 電子掃描(E-掃描)
Electromagnet 電磁鐵
electron linear accelerator 電子直線加速器
Electronic linear scans (E-scans) 電子線性掃描
Electrolytic Sliver recovery unit 電解銀回收裝置(從定影液中回收銀)
Electro-magnetic acoustic transducer 電磁聲換能器
Electromagnetic induction 電磁感應
Electromagnetic radiation 電磁輻射
Electromagnetic testing 電磁檢測
Electro-mechanical coupling factor 機電耦合系數
Electron radiography 電子輻射照相朮
Electron volt 電子伏恃
Electronic noise 電子噪聲
Electrostatic spraying 靜電噴涂
Emulsification 乳化
E-mail: 電子郵件: 電子郵箱:
embedded part 預埋件 嵌入[埋置]部分
Emulsification time 乳化時間
Emulsifier 乳化劑
emission count (聲)發射計數
Encircling coils 環形線圈
End effect 端部效應 端點效應
Energizing cycle 激勵周期
enfoldment 折迭
end socket 端頭, (鋼索的)封頭
envelope 包絡 包跡
environment visible light 環境可見光
Equalizing filter 均衡濾波器 平衡濾波器
equipment 器材 設備
Equivalent 當量
Equivalent I.Q.I. Sensitivity 當量象質指示器靈敏度
Equivalent nitrogen pressure 等效氮壓 當量氮氣壓力
Equivalent method 當量法
Equivalent penetrameter sensifivty 當量透度計靈敏度
erection 架設
Erasabl optical medium 可消光介質
Etching 浸蝕 腐蝕 侵蝕 蝕刻
Evaluation 評定
Evaluation threshold 評價閾值 評定閾
Event count 事件計數
Event count rate 事件計數率
examination 試驗 檢驗 考試
examine and approve 審批
Examination area 檢驗范圍
Examination region 檢驗區域
exfoliation 剝落 脫落
Exhaust pressure/discharge pressure 排氣壓力/排放壓力 出口壓力 輸送壓力
Exhaust tubulation 排氣管道
Expanded time-base sweep 時基線展寬 時基掃描擴展
expansion joint 伸縮接頭
expansion bolt 伸縮栓, 擴開螺栓
Exposure 曝光
Exposure table 曝光表
Exposure chart 曝光曲線
Exposure fog 曝光灰霧
Exposure 曝光
Extended source 擴展源 延長源
Exposure and darkroom accessories 曝光與暗室附件
external diameter 外徑
external diameter of the pipe 管子直徑
extra fee 額外費用
eye survey 目測


F
fabrication tolerance 制造容差
fabrication 加工 制造
fabrication drawing 制造圖紙 制作圖
fall off 脫落
Facility scattered neutrons 易散射中子
False indication 假指示 虛假指示 偽顯示 虛假顯示
Family 族 系列
Far field 遠場
Fast/slow timing Oscilloscope 帶快慢調速的示波器
Fast neutron detectors 快中子探測器
FBH 平底孔(縮寫)
Feed-through coil 穿過式線圈
Field 場(磁場、聲場)
feeder 饋電線
field installation 現場安裝
field instrument 攜帶式儀表
field fabricated 工地制造的 現場裝配的
ferritic 鐵素體的
filament 燈絲
Fill factor 填充系數
fillet weld 角焊 填角焊
filler rod 焊條
filler metal 焊料 焊絲
filling water test 充水試驗
film density 膠片密度,底片黑度
Film viewing equipment 評片裝置(觀片燈)
film evaluation scope 底片評定范圍
Film speed 膠片速度(膠片感光速度 膠片感光度)
Film badge 膠片劑量計
Film base 片基
film cassette 膠片暗盒
Film contrast 膠片對比度
Film gamma 膠片γ值(膠片灰度系數)
Film processing 膠片沖洗加工 底片處理
Film Hangers for manual processing 洗片架(手工洗片的膠片挂架)
Film Hangers (Clip Type)夾式洗片架(手工洗片的一種洗片架類型)
Film Hangers (Channel Type)槽式洗片架(手工洗片的一種洗片架類型)
Film processing chemicals 膠片處理藥品 洗片藥品
Film unsharpness 膠片不清晰度
Film viewing screen 膠片觀察屏
film viewer 底片評片燈 觀片燈 底片觀察用光源
Film marking equipment 膠片標記器材
Filter 濾波器 濾光板 過濾器
Final test 最終檢驗
Fixing 固定
fire barriers 防火間隔 防火屏障
flange joint 凸緣接頭
flange connection 凸緣聯接
flange gasket 法蘭墊片
flange 邊緣 輪緣 凸緣 法蘭
flange sealing surface 法蘭密封面
flash plate 閃熔鍍層
flash point 閃點
Flat-bottomed hole 平底孔
Flat-bottomed hole equivalent 平底孔當量
Flaw 傷 缺陷 瑕疵 裂紋
Flaw characterization 傷特性 缺陷特征
flaw height(thru-wall dimension) 缺陷自身高度(缺陷在壁厚方向的尺寸)
Flaw echo 缺陷回波
flexible conduit 軟管
Flexural wave 彎曲波
flicker-free images 無閃爍圖像
Floating threshold 浮動閥值
floor slab 樓板
flow sheet 流程圖
flow instrument 流量計
Fluorescence 熒光
Fluorescent examination method 熒光檢驗法
Fluorescent magnetic particle inspection 熒光磁粉檢驗
Fluorescent dry deposit penetrant 干沉積熒光滲透劑
Fluorescent light 熒光 熒光燈
Fluorescent magnetic powder 熒光磁粉
Fluorescent penetrant 熒光滲透劑
Fluorescent screen 熒光屏
Fluoroscopy 熒光檢查法
fluorography 熒光照相朮
Fluorometallic intensifying screen 熒光金屬增感屏
flushing 沖洗 填縫
Flux lines 磁通線
flux 焊劑,熔化
flux cored arc welding 帶焊劑焊絲電弧焊
Flux leakage field 磁通泄漏場 漏磁場
Focal distance 焦距
Focal spot 焦點
Focusing probe 聚焦探頭
Focus length 焦點長度 聚焦長度
Focus size 焦點尺寸 聚焦尺寸
Focus width 焦點寬度 聚焦寬度
Focus electron 電子焦點 聚焦電子束
Focused beam 聚焦束(聲束、光束、電子束)
Focus-to-film distance(f.f.d) 焦點-膠片距離(焦距)
Fog density 灰霧密度
Fog 灰霧
Footcandle 英尺燭光
formula 公式
foundation ring 底圈
foundation settlement 基礎沉降
Freguency 頻率
Frequency constant 頻率常數
Fringe 干涉帶 干擾帶 條紋 邊緣
Front distance 前沿距離
Front distance of flaw 缺陷前沿距離
full-scale value 滿刻度值
Full-wave direct current(FWDC) 全波直流
Fundamental frequency 基頻
Furring 毛狀跡痕 毛皮
fusion 熔融 熔合
fusion arc welded 熔弧焊


G
Gage pressure 表壓 表壓力
gage glass 液位玻璃管
Gain 增益
gamma camera γ射線照相機(γ射線探傷機)
gamma equipment γ射線設備
Gamma radiography γ射線照相朮
Gamma ray source γ射線源
Gamma ray source container γ射線源容器
Gamma rays γ射線
Gamma-ray radiographic equipment γ射線照相裝置
Gamme ray unit γ射線機 γ射線裝置
gamma source γ射線源 γ源
Gap scanning 間隙掃查 間隙掃描
Gas 氣體
gas cutting 氣割
gas shielded arc welding 氣體保護焊
Gate 閘門
Gating technique 選通技朮 脈沖選通技朮
gauge board 儀表板 樣板 模板 規准尺
Gauss 高斯
Geiger-Muller counter 蓋革.彌勒計數器
generating of arc 引弧
Geometric unsharpness 几何不清晰度
girth weld 環形焊縫
gland bolt 壓蓋螺栓
Goggles 護目鏡
gouging 刨削槽
Gray(Gy) 戈瑞
Grazing incidence 掠入射 切線入射
Grazing angle 掠射角 切線角 入射余角
grind off 磨掉
grinder 磨床 磨工
grinding wheel 砂輪片 砂輪
groove face (焊縫)坡口面
grounding conductor 接地導體
Group velocity 群速度
grouting 灌(水泥)漿
guide wire 尺度[定距]索,准繩
gusset plate角撐板, 加固板


H
Half life 半衰期
Half-wave current (HW) 半波電流
Half-value layer(HVL) 半值層 半價層
Half-value method 半波高度法 半值法
Halogen 鹵素
Halogen leak detector 鹵素檢漏儀
Hard-faced probe 硬膜探頭 硬面探頭
hanger 吊架 挂架 洗片架
Hard hat 安全帽
Hard X-rays 硬X射線
Harmonic analysis 諧波分析
Harmonic distortion 諧波畸變
Harmonics 諧頻 諧波
head face 端面
Head wave 頭波
heat exchangers 熱交換器
heat absorbing glass 吸熱玻璃
Heating boxes 加熱箱
heat affected area 熱影響區
heat transfer 熱傳輸
Helium bombing 氦轟擊法
Helium drift 氦漂移
Helium leak detector 氦檢漏儀
Hermetically tight seal 氣密密封 密封 密封裝置
High vacuum 高真空
High energy X-rays 高能X射線
high frequency generator 高頻發電機
highly sophisticated image processing 高度完善的圖像處理
hoisting upright column 吊裝立柱
high frequency 高頻
Holography 全息照相朮(光全息、聲全息)
horizontal line 水平線
Hydrophilic emulsifier 親水性乳化劑
Hydrophilic remover 親水性洗淨劑 親水性去除劑
Hydrostatic test 水壓試驗 靜水壓試驗
hydrophilic emulsifier 親水乳化劑
hydrostatic pressure test靜水壓試驗
Hysteresis 磁滯 滯后


I
IACS =International Annealed Copper Standard 國際退火(軟)銅標准
ice 冰 ice chest 冰箱
ice machine 制冰機,冷凍機
iconoscope 光電攝像管
ID (①inside ②inside dimensions) ①內徑 ②內部尺寸
ID coil ID線圈 =Inside Diameter 內徑線圈
Image definition 圖像清晰度
idea 概念,意見,思想
ideal 理想的,想象的
identical 同一的,恆定的,相同的
identification 鑒定,辨別,驗明
identification mark 識別標志
identifier 鑒別器
identify pulse 識別脈沖
idiopathetic 自發的,特發的
IEM (ion exchange membrane) 離子交換膜
I.F. (intermediate frequency) 中頻(30~3000千周/秒)
illuminance 照(明)度
illuminant 照明的,發光的
illuminating lamp 照明燈泡
illuminating loupe 放大照明鏡
illuminating mirror 照明鏡
illumination 照明的,照射
illumination apparatus 照明器
illumination plate 照明板
illuminator ①照明器,照明裝置 ②反光鏡
illuminometer 照度計
illustration(abbr. illus.) 圖解,例証,具體說明
image amplifier 圖像放大器,影像增強器
image analysis system 圖像分析系統
image converter 影像轉換器
Image contrast 圖像對比度 影像對比
Image enhancement 圖像增強
image freeze 影像凍結
image intensifier 像亮化器,圖像增強器
image intensifier tube 影像增強管 圖像增強管
image pick-up tube 攝像管
Image magnification 圖像放大
Image quality 圖像質量
image monitor 圖像監視器
image multiplier 影像倍增器
Image Quality Indicator (IQI)像質計 像質指示器
Imaging Plates(IP)成像板
Image quality indicator sensitivity 像質指示器靈敏度
imager 圖像儀,顯像儀
image reproducer 顯像管,顯像器
image quality indication 像質指示
Imaging line scanner 圖像線掃描器 圖像行掃描器
image store 圖像存儲器
image tube 顯像管
imagination 想象
imagine 想象,推測,設想
immediate payment 立即付款
immersion 浸沒,浸漬
Immersion probe 液浸探頭
Immersion rinse 浸沒清洗 浸液清洗
immersion system 浸漬裝置 液浸系統
Immersion testing 液浸試驗
Immersion time 浸沒時間 浸入時間
impacter 沖擊器
impact strength 沖擊強度
Impedance 阻抗
Impedance plane diagram 阻抗平面圖
impedance matching 阻抗匹配
impedance transducer 阻抗傳感器,阻抗換能器
impeller ①葉輪,轉子 ②壓縮機
imperial quart 英制夸脫
imperial gallon(abbr. ip gal) 英國標准加侖(英制容量單位合4.546升)
Imperfection 不完整性 缺陷
Impulse eddy current testing 脈沖渦流檢測
import ①進口 ②輸入
import and export firm 進出口商行
importation ①輸入,傳入 ②進口貨
import border station 進口國境站名
import licence position 進口許可証
impression 壓跡,印模,版
impression technic 印模朮
impression tray 印模盤
impulse 沖動,搏動,脈沖
impulse generator 脈沖發生器
impulse oscilloscope 脈沖示波器
impulser 脈沖發生器,脈沖傳感器
impulse recorder 脈沖自動記錄器
impulse scaler 脈沖計數器
impulse timer 脈沖計數器
impulse transmitting tube 脈沖發射管
impurity 不純,雜質
In (①indium ②inch) ①銦 ②英寸(等于25.4毫米)
inaction 無作用
inadequacy 機能不全,閉鎖不全
Inc. (incorporated) 股份有限公司
incandescent lamp 白熾燈
inch(abbr.In;in) 英寸
incidence ①入射,入射角 ②發生率
incident angle 入射角
incidental 偶發的,非主要的
incident illumination 入射光
incident light 入射光
incident ray 入射光
inclination 傾斜,斜度
inclined tube type manometer 斜管式壓力計
include 包括,計入
inclusion 包含 包埋 雜質
incoming line 進線口
incompatible 不相容的,禁忌的
incompetence 機能不全,閉鎖不全
inconvertible 不可逆的
incorporation ①并入 ②公司
increase 增加,增大,增長
indent 訂單
Incremental permeability 增量磁導率
Indicated defect area 缺陷指示面積
index ①指數,索引 ②指針
index card 索引卡片
indexer 指數測定儀,分度器
index hand 指針
indicated light 指示燈
indicating bell 指示鈴
indicating lamp 指示燈
indicator ①指示器,顯示器 ②指針 ③指示劑
indicator paper 試紙
indicatrix 指示量,指示線,特征曲線
index signal 指示信號
indicate 指示,表明
Indicated defect length 缺陷指示長度
Indication 指示
indifferent electrode 無關電極
indiffusible 不擴散的
indirect export 間接出口
indirect import 間接進口
indium(abbr.In) 銦
individual 個體的,個別的
Indirect exposure 間接曝光
Indirect magnetization 間接磁化
Indirect magnetization method 間接磁化法
Indirect scan 間接掃查
indoor 室內的
induce 引起,感應,誘導
induced electricity 感生電,感應電
induct 感應,引導,引入
inductance 電感,感應系數
inductance bridge flowmeter 感應電橋流量計
Induced field 感應磁場 感生場
Induced current method 感應電流法
inductance meter 電感測定計
induction ①引導,前言 ②感應,電感 ③吸氣
induction apparatus 感應器
induction coil 感應線圈
inductive transducer 感應傳感器
inductogram X射線照片
inductometer 電感計
inductor 感應器,感應機
inductorium 感應器
inductosyn 感應式傳感器
industrial(abbr.indust.) 工業的,產品的
industrial exhibition 工業展覽會
industrial robot 工業機器人
industry 工業,產業
Industrial X-ray films 工業X射線膠片
indutrial X-ray machin 工業X射線機
industrial radiographic film dryer 工業射線膠片干燥器
inert 惰性的,無效的
inference 推論,推斷
infinite 無限的,無窮的
infinitesimal 無限小的,無窮小的
infinity 無窮大,無限,無限距
inflame 燃,著火
inflammable 可燃的,易燃的
inflation 膨脹,充氣,打氣
inflator 充氣機 inflow 流入,吸入,進氣
influence 影響,感應
influx 流入,注入
inform 報告,通告,告訴
information 情報,資料,消息,數據
information generator 信息發送器
information storage unit 信息存儲器
infra- 下,低于,內,間
infranics 紅外線電子學
infrared ①紅外線的 ②紅外線
infrared detector 紅外線探測器
infrared drier 紅外線干燥器
infrared equipment 紅外線設備
infrared furnace 紅外線爐
infrared gas analyzer 紅外線氣體分析儀
infrared heater 紅外線加熱器
infrared lamp 紅外線燈
infrared laser 紅外激光器
infrared light 紅外線
infrared liner polarizer 紅外線直線偏振鏡
infrared photography 紅外攝影朮
infrared radiation 紅外線照射
infrared radiator 紅外線輻射器
infrared rays 紅外線
infrared spectrophotometer 紅外分光光度計
Infrared imaging system 紅外成象系統
Infrared sensing device 紅外傳感裝置
infrasonic frequency 次聲頻
infrequent 稀有的,不常見的
infrared thermography 紅外熱成象 紅外熱譜
Inherent fluorescence 固有熒光
inherent 生來的,固有的,先天的
inheritance 遺傳,繼承
inhibition 抑制,延遲,阻滯
inhibitor 抑制劑 抑制器
inhomogeneous 不純的,不均勻的
in-house 自身的,內部的
initial 開始的,最初的
initial charge 起始電荷
initial data 原始數據
initiator ①創造人 ②引發劑
Inherent filtration 固有濾波
Initial permeability 起始磁導率 初始磁導率
Initial pulse 始脈沖
Initial pulse width 始波寬度 始脈沖寬度
injection 注射,噴射
injection syringe 注射器
injector 注射器,噴射器
injector pump 注射泵
injury 傷,損傷,損害
ink 墨水,油墨
ink jet printer 墨水噴射印刷機,噴水式打印機
ink jet recorder 墨水噴射記錄器
ink writer 印字機
ink writing oscillograph 記錄示波儀
inlay 嵌體,嵌入
inlead 引入線
inlet 入口,入線,輸入
inlet port 入口
inner 內部的
innocuous 無害的,良性的
innovation 革新,改革
innumerable 無數的,數不清的
ino- 纖維
inoperative 無效的,不工作的
inorganic 無機的
inorganic chemistry 無機化學
inosculation 吻合,聯合
in-out box 輸入-輸出盒
in parallel 并聯
in phase 同相的
input 輸入,輸入電路
input buffer 輸入緩沖器
input coupler 輸入耦合器
input device 輸入裝置
input filter 輸入濾波器
input impedance 輸入阻抗
input output adapter 輸入-輸出銜接器
input tranformer 輸入變壓器
inscription 標題,注冊
in series 串聯
insert ①插入物,墊圈 ②插入,植入
inserter 插入器,插入物
insertion 插入
insert tube 嵌入式X射線管
inset 插頁,插圖,插入
inside 內部,內側,在……里面
Inserted coil 插入式線圈
Inside coil 內部線圈
Inside-out testing 外泄檢測 泄出檢測
Inspection 檢查 檢驗
insignificant 無意義的,輕微的
insolation 曝晒,日照
insoluble 不溶解的
inspection 驗收,檢查,商檢
inspection certificate 檢驗証明書
inspection fee 檢驗費
inspection machine 檢驗設備
inspection standard 檢驗標准
inspector ①測定器 ②檢驗員
inspectoscope 檢查鏡
Inspection medium 檢查介質 檢驗介質
Inspection frequency 檢測頻率
inspissator 濃縮器,蒸濃器
instability 不穩定性
install 安裝,裝置
installation ①安裝 ②裝置,設備
installation fundamental circle 安裝基准圓
installing 安裝,插入
instance 例証,實例,情況
instantaneous value 瞬時值,即時值
instead 代替,更換
instillation 滴注法,灌注
institute 學會,協會,研究所
institution 機關,機構,學校,制度
instruction ①指示,命令 ②說明,說明書
instruction counter 指令計數器
instrument 儀器,器械,儀表
instrumental error 儀器誤差
instrumentation ①器械,設備 ②器械操作法
instrument air 儀表氣源
instrument board 儀表板
instrument cabinet 器械柜
instrument carriage 器械車
instrument case 器械箱
instrument cover 儀器外表
instrument cupboard 器械柜
instrument light 儀表信號燈
instrument lubricant 器械潤滑劑
instrument rack 器械架 儀器架
instrumenent repairing table 器械修理台
instrument stand 儀器架
instrument table 器械台,器械桌
insulant 絕緣材料
insulated cable 絕緣電纜
insulated sleeve 絕緣套管
insulating oil 絕緣油
insulation 絕緣,絕熱,隔離
insulation resistance 絕緣電阻
insulator 絕緣體,絕熱體
insullac 絕緣漆
insusceptible 不受……影響的,不接受……的
intact 完整的,未受損傷的
integral ①積分(的) ②完整的
integraph 積分儀
integrated circuit(abbr.IC) 集成電路
integrated circuit microelectrode 集成電路微電極
integrated circuit storage 集成電路存儲器
integrating dosimeter 累計劑量儀
integrating instrument 積分儀,積算儀表
integrator 積分儀
integrogram 積分圖
integronics 綜合電子設備
intellect 智力,才智
intensifier ①增強器 ②照明裝置
Intensifying factor 增感系數
Intensifying screen 增感屏
intensimeter X射線強度計
intensionometer X射線強度量計
intensity 強度
intensity level ①強度級(聲音) ②亮度
intensity output 聲強輸出
intensive 加強的,集中的,重點的
inter- 在……中間,內,相互
interaction 相互影響,相互作用,干擾
interception 相交,折射(光)
interchange 交替,交換
interchanger 交換器
intercondenser 中間電容器
intercooler 中間冷卻器
interdiction 禁止,制止
interest 興趣,關心,注意,利益
interesting 有趣的
interface (計算機)接口 界面
Interval arrival time (Δtij) 到達時間差(Δtij)
Interface boundary 界面
interface echo 界面回波
interfacial tensiometer 界面張力計
interfacial tension 界面張力
Interface trigger 界面觸發
Interference 干涉
interference absorber 干擾吸收器
interference filter ①干涉濾波器 ②干涉濾光鏡
interference preventer 防干擾裝置
interference refractometer 干涉折射計
interference spectroscope 干涉分光鏡
interferogram 干涉圖
interferometer 干涉儀,干擾計
interferoscope 干涉鏡
interior 內部,內部的
interior angle welding line joint 內側角焊縫接頭
intermission 間斷 間歇
interlayer 夾層 隔層
intermediate frequency(abbr.I.F.) 中頻(300~3000千周/秒)
internal energy 內能
internal exposure 體內照射
internal gauge 內徑規
international(abbr.Int.) 國際的,世界的
international candle 國際燭光
international fair 國際博覽會
international market 國際市場
international standard 國際標准
international treaty 國際條約
international unit(abbr. I.U) 國際單位
interphase 界面
interpolation 插入,內插法
interpretation 翻譯,解釋,說明
interpreter 翻譯程序,翻譯機
interrupt 斷續,中斷
interrupter 斷流器,斷續器
interspace 空間,間隙,中間
interstage amplifier 級間放大器
inter-sync 內同步
interval 間隔,時間間隔,中斷期
intervalometer 定時器,時間間隔計
interval timer 限時器
in toto 全,整體
intra- 在內,內,內部
interpretation 解釋
intrasonic 超低頻
intro- 入口,在內
introduce ①引進,引導 ②前言,緒論
introduction 說明書,前言,緒論
intromission 插入,輸入
introscope 內腔檢視儀,內孔窺視儀
in vacuo 在真空中
invagination 凹入,折入,套疊
invasive 侵害的,侵入的
invention 發明,創造
inventor 發明者,創造者
inventory ①清單,存貨單 ②設備,機器
inversion 轉換,逆轉
inverted cone 倒錐形
inverted image 倒像
inverter 倒相器,交換器,換流器
inverting amplifier 倒相放大器
inverting eyepiece 倒像目鏡
invest 包埋,圍模,附于
investigation ①調查,研究 ②調查報告
invisible light filter 不可見光濾光鏡
invisible spectrum 不可見光譜
involuntary 不隨意的,偶然的
involve 包含,包括
inward 內,向內的
Io(ionium) 碘
iodide 碘化物
iodine(abbr. I) 碘
iodo- 碘
iodoform 碘仿,三碘甲烷
ion pump 離子泵
ion 離子
ion analyser 離子分析儀
ion exchange 離子交換
ion exchange chromatography 離子交換色譜法
ion exchanger ①離子交換器 ②離子交換器
ion exchange resin 離子交換樹脂
ionic rays 離子射線
ionic strength 離子強度
ionic weight 離子量
ionization 電離,游離,離子化
ionization chamber 電離室
ionization constant 電離常數
ionization meter 電離測量儀
ion source 離子源
Ionization chamber 電離室
Ionization potential 電離電位
Ionization vacuum gage 電離真空計 電離真空壓力計
ion laser 離子激光器
ion meter 離子計
ionocolorimeter 氫離子比色計
ionogram 電離圖
ionometer ①X射線量計 ②離子計
ionosphere 電離層
Ionography 離子放射照相法
Ir-192 Gamma ray projector 銥192 γ射線探傷機
ionotron 靜電消除器
ion source 離子源
iontoquantimeter ①X射線量計 ②離子計
iontoradiometer X射線量計
IP (iso-electric point) 等電點
IP(Imaging Plates)成像板
Ir (iridium) 銥
ir- “不,無,非”(同in-,但冠于r字頭的詞前)
iraser 紅外激射器,紅外激光
iridium(abbr. Ir) 銥
iris ①虹膜 ②隔膜,膜片 ③可變光闌
iris aperture 可變光圈,可變光闌
iron 鐵
Iron intensifying screens 鐵增感屏
Irradiance E 輻射通量密度,輻照度 E
iron triangle 鐵三角架
irradiation 照光,輻射
irradiator 輻照器,輻射器
irregular 不規則的,無規律的
irregular lighting 不規則照明
irreversibility 不可逆性
IR spectrophotometer 紅外線分光光度計
iso- 同,等,均勻
isobar 等壓線
isochore 等容線(在等體積下溫度氣壓關系曲線)
isochrone 等時線,瞬壓曲線
isochronism 等時性
Isolation 隔離度 絕緣
Isotope 同位素
isolation room 隔離室
isolator 絕緣體,隔離器,隔離物
isomer (同分)異構體
isopotential 等電勢的,等電的
isothermal line 等溫線
isotope tracer 同位素示蹤物
isotopic tracer 同位素指示劑,示蹤原子
ISP(infrared spectrophotometer) 紅外分光光度計


J
jig 夾具
jigger rotor 盤車轉子
job site 施工現場
junction box 接線盒 分線箱



K
K value K值
Kaiser effect 凱塞(Kaiser)效應
Kilo volt 千伏特(kv)
Kiloelectron volt 千電子伏特(keV)
Krypton 85 氪85



L
lack of fusion in welds 焊縫中的未熔合
L/D ratio L/D比 (長/徑比)
Lamb wave 蘭姆波
land (刀刃的)厚度 (紋間)表面 (鑽頭的)刃帶 (活塞的)環槽 (柱塞的)擋圈 連接盤 焊接區
lap width 搭接寬度
Latent image 潛象
Lateral scan 左右掃查 橫向掃描
lateral translation 側向平移
layout drawing 布置圖
Lateral scan with oblique angle 斜平行掃查
Latitude of an emulsion 膠片曝光寬容度
lead foil 鉛箔
lead pipe鉛管
Lead intensifying screens 鉛增感屏
Lead screen 鉛屏 鉛增感屏
Leak 泄漏
Leak artifact 人工泄漏標樣
Leak detector 檢漏儀
Leak testing 泄漏檢測
leak test 漏泄試驗
leakproofness 嚴密性 防漏的
Leakage field 泄漏磁場 泄漏場
Leakage rate 泄漏率
Leveling 校平
lighting fixture 照明器材
level instrument 位面計, 水平儀
level switch (信號)電平開關
levelness 水平度
lightning protection 防雷接地 防雷保護
lightning conduction 避雷網(閃電引導)
lightning rod 避雷針
Lift-off effect 提離效應
Light intensity 光強度
ligthing paraphernalia 照明器具(指攜帶式)
limitation 限度 局限性
Limiting resolution 極限分辨率
line check 小檢修
line number 行數
line breaker 斷路器 線路開關
Line scanner 線掃描器 行掃描儀
Line focus 線焦點 行聚焦
Line pair pattern 線對圖形
Line pairs per millimetre 每毫米線對數
Linear electron accelerator(LINAC) 電子直線加速器
Linear Porosity 線性氣孔(條形氣孔)
linear array 線陣列
Linear attenuation coefficient 線性衰減系數
Linear scan, linear scanning 線掃查 線性掃描
Linearity time 線性時間
Linearity distance 線性距離
Linearity amplitude 線性振幅
Lines of force 力線
linkman name 聯系人姓名
lintel beam 水平橫楣梁
Lipophilic emulsifier 親油性乳化劑
Lipophilic remover 親油性洗淨劑 親油性去除劑
Liquid penetrant examination 液體滲透檢驗
Liquid film developer 液膜顯像劑
local distortion 局部變形
local heat treatment 局部熱處理
local panel 現場配電盤
Local magnetization 局部磁化
Local magnetization method 局部磁化法
Local scan 局部掃查
Localizing cone 定位錐
Location 定位
Location accuracy 定位精度
Location computed 定位計算
Location marker 定位標記
Location upon delta-T 按時差(△T)定位
Longitudinal field 縱向場
Location continuous AE signal 連續聲發射(AE)信號定位
lock washer 鎖緊[止動, 防松]墊圈
longitudinal 縱向的
logic diagram邏輯圖
logistics 物流
logistics flow 物流
Longitudinal magnetization method 縱向磁化法
Longitudinal resolution 縱向分辨率
longitudinal weld 縱向焊縫
longitudinal seam 縱向焊縫
Longitudinal wave 縱波
Longitudinal wave probe 縱波探頭
Longitudinal wave technique 縱波法
Loss of back reflection 背反射損失 底面反射損失
loop test環路測試
low alloy steel 低合金鋼
loose core (壓鑄型中)抽芯 活芯
Love wave 樂甫波
Low energy gamma radiation 低能γ輻射
Low-energy photon radiation 低能光子輻射
Luminance 亮度 發光度
Luminosity 亮度 發光度 光度
Lusec 流西克(真空泵抽氣速度單位) 盧塞克(漏損單位, 每一升體積內每秒壓力升高1微米水銀柱)



M
master schedule 主要圖表 綜合圖表 設計任務書 主要作業表
maximum tip reflected wave 端點最大反射波
Marking tapes 標記帶
Mega electron volts MeV 兆電子伏特(MeV)
million electron volts MeV 兆電子伏特(MeV)
machining 機械加工
machine set 機組
Magnetic history 磁化史
Magnetic hysteresis 磁性滯后 磁滯現象
Magnetic particle field indication 磁粉磁場指示(利用磁粉顯示跡痕指示磁場狀態)
Magnetic particle inspection flaw indications 磁粉檢驗的缺陷顯示(缺陷磁痕)
Magnetic circuit 磁路
Magnetic domain 磁疇
Magnetic field distribution 磁場分布
Magnetic field indicator 磁場指示器
Magnetic field meter 磁場計
Magnetic field strength 磁場強度(H)
Magnetic field 磁場
Magnetic flux 磁通
Magnetic flux density 磁通密度
Magnetic force 磁力
Magnetic leakage field 漏磁場
Magnetic leakage flux 漏磁通
Magnetic moment 磁矩
Magnetic particle 磁粉
Magnetic particle indication 磁痕 磁粉顯示
Magnetic particle testing 磁粉檢測
magnetic particle examination 磁粉檢驗
Magnetic permeability 磁導率
Magnetic pole 磁極
Magnetic saturation 磁飽和
Magnetic storage medium 磁存儲介質
Magnetic storage 磁存儲器
magnetic saturation system 磁飽和裝置
Magnetic writing 磁寫
Magnetizing 磁化
Magnetizing current 磁化電流
Magnetizing coil 磁化線圈
Magnetostrictive effect 磁致伸縮效應
Magnetostrictive transducer 磁致伸縮換能器
Main beam 主梁 主(聲、光、射線、電子、波)束
main line 主干線
main pipe 主管道
Manual testing 手動檢測 人工測試
manual electric arc welding 手工電弧焊
manual tungsten electrode 手工鎢極
Markers 時標 標記器
MA-scan MA型掃描
MA-scope MA型顯示
Masking 遮蔽 屏蔽
Mass attenuation coefficient 質量衰減系數
Mass number (原子)質量數 質數 質量數
Mass spectrometer (M.S.) 質譜儀
Mass spectrometer leak detector 質譜檢漏儀
Mass spectrum 質譜
Master/slave discrimination 主從鑒別
material certificate 材料合格証
matrix array 矩陣列
Mean free path 平均自由行程
measuring method 測量方法
mechanical interlocking 機械(集中)聯鎖
mechanical damage 機械損傷
Medium vacuum 中度真空
Mega volt MV 兆伏特(MV)
melting 熔化
metal ceramic tube 金屬陶瓷管(一種X射線管)
metallic luster 金屬光澤
milling cutter 銑刀
million volt MV 兆伏特(MV)
Micro focus X-ray tube 微焦點X射線管
Microfocus radiography 微焦點射線照相朮
Micrometre 微米
Micron of mercury 微米汞柱(氣壓單位)
Microtron 電子回旋加速器
Milliampere 毫安(mA)
Millimetre of mercury 毫米汞柱(氣壓單位)
Minifocus x-ray tube 小焦點X射線管
Minimum detectable leakage rate 最小可探泄漏率
Minimum resolvable temperature difference (MRTD) 最小可分辨溫度差(MRDT)
Mode 波型 模式
Mode conversion 波型轉換 模式轉換
Mode transformation 波型轉換 模式轉換
Moderator 慢化器 減速劑 緩和劑
Modulation transfer function (MTF) 調制轉換功能(MTF) 調制傳遞函數(MTF)
Modulation analysis 調制分析
molding 成型
Molecular flow 分子流
Molecular leak 分子泄漏 分子漏孔
Monitor 監視器
Monochromatic wave 單色波
motor lead電動機引出線
Movement unsharpness 移動不清晰度 運動不清晰度
Moving beam radiography 運動射束射線透照朮
Multiaspect magnetization method 多向磁化法
Multidirectional magnetization 多向磁化
Multifrequency eddy current testing 多頻渦流檢測
Multiple back reflections 多次背反射
Multiple reflections 多次反射
Multiple back reflections 多次底面反射
Multiple echo method 多次反射法 多次回波法
Multiple probe technique 多探頭法
Multiple triangular array 多三角形陣列


N
name plate 銘牌 標示牌
nameplate 銘牌 標示牌
Narrow beam condition 窄束狀態
National Electrical Code 國家電氣規程
Near field 近場
Near field length 近場長度
Near surface defect 近表面缺陷
necking down 縮口 縮頸
Net density 淨黑度 淨密度
Neutron 中子
Neutron radiography 中子射線照相朮
Newton (N) 牛頓
Nier mass spectrometer 尼爾質譜儀
nipple 螺紋接頭
Noise 噪聲
Noise equivalent temperature difference (NETD) 噪聲當量溫度差(NETD)
nominal diameter 通稱[標稱]直徑
nominal thickness 公稱厚度
Nominal angle 標稱角度 名義角度
Nominal frequency 標稱頻率 名義頻率
Non-aqueous liquid developer 非水性液體顯像劑
Noncondensable gas 非凝氣體
Nondcstructivc Examination 無損檢驗 非破壞性檢驗
Nondestructive Evaluation(NDE) 無損評價
Nondestructive Inspection(NDI) 無損檢驗
Nondestructive Testing(NDT) 無損檢測
Nonerasble optical data 不可消除的光學數據
Nonferromugnetic material 非鐵磁性材料
Nonrelevant indication 非相關指示 無關顯示
Non-screen-type film 非增感型膠片
normal bend 法向[法線]彎管
non-relevant indication 非相關顯示
Normal incidence 垂直入射 正入射
Normal permeability 標准磁導率
Normal beam method 垂直波束法
Normal probe 直探頭
Normalized reactance 歸一化電抗 標准化電抗
Normalized resistance 歸一化電阻 標准化電阻
notice plate 標記牌 布告板
NPT =Normal Pressure and Temperature 常溫常壓, 標准溫度與壓力
Nuclear activity 核活性 核放射性
Nuclide 核素
nut 螺母 螺帽



O
Object plane resolution 物體平面分辨率
Object scattered neutrons 物體散射中子
Object beam 物體光束 物體波束
Object beam angle 物體光束角 物體波束角
Object-film distance 物體-膠片距離
object-to-film distance 工件至膠片距離
odometer(汽車等的)里程表制造產品
odour 氣味
OEM (Original Equipment Manufacturer)指非原始產品制造商經授權制造產品冠以原始產品制造商的品牌
oil whiting test 油+白粉(白堊)的滲透探傷
oil stain 油性著色劑 油漬 油污
opening 開口
orifice plate 擋板 孔板
order 訂單
order No. 訂單號
outlet valve 排氣閥
ovality 橢圓度 橢圓形
Over development 顯影過度 過度顯影
Over emulsification 過度乳化 乳化過度
overground 在地面上的
Overall magnetization 整體磁化
overlap welding 搭接焊
overlap 焊瘤
Overload recovery time 過載恢復時間
Overwashing 過洗 清洗過度 過清洗
Oxidation fog 氧化灰霧
oxide film 氧化膜
oxyacetylene gas cutting 氧乙炔氣割


P
packing 包裝
Pair production 電子偶生成 電子對產生
Palladium barrier leak detector 鈀屏檢漏儀
Parallel scan 平行掃查
Panoramic exposure 全景曝光
parallelism 平行度
panoramic tube 周向(X射線)管
parallel and level 平齊
paratactic 并列
Paramagnetic material 順磁性材料
Parasitic echo 干擾回波
parent metal 母材
Partial pressure 分壓
Particle content 磁懸液濃度 粒子含量
Particle velocity 質點(振動)速度
Pascal (Pa) 帕斯卡(帕)
Pascal cubic metres per second 帕立方米每秒(Pa﹒m3/s )
Path length 光程長度 路徑長度 聲程長度
Pattern 圖形
Path length difference 光程長度差 路徑長度差 聲程差
Peak current 峰值電流
Penetrameter 透度計
Penetrameter sensitivity 透度計靈敏度
Penetrant 滲透劑 滲透液
Penetrant comparator 滲透對比試塊
Penetrant flaw detection 滲透探傷
Penetrant removal 滲透劑去除
Penetrant station 滲透工位
Penetrant,water-washable 水洗型滲透劑
Penetration 滲透 穿透 熔深
penetrated thickness 透照厚度
Penetration time 滲透時間
Permanent magnet 永久磁鐵
periphery 周邊 外圍
period of validity 有效期
Permeability coefficient 透氣系數 滲透系數 磁導系數
Permeability,a-c 交流磁導率
Permeability,d-c 直流磁導率
petroleum distillates 石油餾出物
Phantom echo 幻象回波 幻影波
Phase analysis 相位分析
Phase angle 相位角
Phase controlled circuit breaker 斷電相位控制器
Phase detection 相位檢測 鑒相
Phase hologram 相位全息圖
Phase sensitive detector 相敏檢波器
Phase shift 相位移
Phase velocity 相速度
Phase-sensitive system 相敏系統
Phased array testing 相控陣檢測
phased array radar 相控陣雷達
Phillips ionization gage 菲利浦電離計
Phosphor 熒光物質
Photoelectric absorption 光電吸收
Photographic emulsion 照相乳劑
Photographic fog 照相灰霧
Photostimulable luminescence 光敏發光 光激熒光
Photostimulable Luminescence Method 光激發光方法 PLM技朮
Piezoelectric effect 壓電效應
Piezoelectric material 壓電材料
Piezoelectric stiffness constant 壓電勁度常數 壓電剛度常數
Piezoelectric stress constant 壓電應力常數
Piezoelectric transducer 壓電換能器
Piezoelectric voltage constant 壓電電壓常數
Pilot U detectors U形導管探測器
Piping work 鋪管工程
piping system 管道系統
piping 管道敷設 管道系統
pipe arrangement 管配置
pipe stanchion 管支柱
pipe casting 管鑄件
pipe thickness 管壁厚度
pipeline 管路
Pirani gage 皮拉尼真空計 Pixel 象素
Pitch and catch technique 一發一收法(傾斜入射-底面反射-接收)
Pixel size 象素尺寸
Pixel disply size 象素顯示尺寸
pilot tube指示燈
PLM(Photostimulable Luminescence Method)光激發光方法
plug 塞
Planar array 平面陣(列)
Plane wave 平面波
plastic tape 塑料帶
plate thickness 篩板厚度
plate bending rolls 卷板機
plasma panel 等離子
Plate wave 板波
Plate wave technique 板波法
pneumatic pressure 氣壓
pneumatic signal氣動信號
polish 修磨
Pocket Dosimeter 袖珍劑量計 攜帶式放射線劑量計
Point source 點(聲、光、輻射)源
Porosity (焊縫)氣孔
portable 輕便
Post emulsification 后乳化
Post emulsifiable penetrant 后乳化滲透劑
postweld heat treatment 焊后熱處理
Post-cleaning 后清洗
Post-Emulsifiable Fluorescent Dye Penetrants 后乳化熒光著色滲透劑
Powder 粉末
Powder blower 噴粉器 磁粉噴槍
Power supply 電源
power control 功率控制
power receptacle 電力插座
power distribution panel 配電盤
power source 電源
power distribution equipment 配電裝置
power distribution 配電
power drill機械鑽
power wiring電力布線
preamp 前置放大器
Pre-amplifier 前置放大器 預放大器
preservative treatment 防腐處理
Pre-cleaning 預清理
Pressure difference 壓力差
prefabrication baiting 預制下料
pressure meter 壓力表
Pressure dye test 壓力著色檢測
Pressure probe 壓力探頭
Pressure testing 壓力試驗 耐壓試驗
pressure vessel 壓力容器
pressure test 壓力試驗
pressure instrument 壓力儀表/壓力計
Pressure-evacuation test 壓力抽真空試驗
Pressure mark 壓痕
Pre-test 初探 預檢
Primary coil 一次線圈 初級線圈
principal 負責人
probe coil 放置式線圈、探頭式線圈(渦流檢測用)
Primary radiation 初級輻射 一次輻射 原輻射
Probe gas 探測氣體 氣體探頭
Probe test 探測試驗 探頭試驗
Probe backing 探頭背襯
Probe coil 點式線圈 探頭式線圈
Probe coil clearance 探頭線圈間隙
Probe index 探測指數 探頭指數
Probe to weld distance 探頭-焊縫距離
Probe search unit 探頭
Process control radiograph 工藝過程控制的射線照相
process pipe 工藝管道
Processing capacity 處理能力
Processing speed 處理速度
profile steel 型鋼
protection tube 塑料保護管
Prods 觸頭 手持電極
Projective radiography 投影射線照相朮
proof fabric 膠布
Proportioning probe 比例探頭
Protective material 防護材料
protable X-ray generato 便攜式X射線發生器(指便攜式工業X射線探傷機)
Proton radiography 質子射線照相朮
Pulse 脈沖 脈沖波
Pulse echo method 脈沖回波法 脈沖反射法
Pulse repetition rate 脈沖重復率
Pulse amplitude 脈沖幅度
Pulse energy 脈沖能量
Pulse envelope 脈沖包絡
Pulse length 脈沖長度
Pulse repetition frequency 脈沖重復頻率
Pulse tuning 脈沖調諧
Pump-out tubulation 抽氣管道
Pump-out time 抽氣時間
push button station按鈕式控制站


Q
Q factor,Quality factor Q值 品質因數
Quadruple traverse technique 四次波法
qualification 資格 條件 限制 限定 賦予資格
quality analysis 質量分析
Quality of a beam of radiation 射線束的品質
Quenching 猝滅 淬火
quenching of arc 熄弧
Quenching of fluorescence 熒光的猝滅
Quick break 快速斷路
Quotation 引証 引語
quoting 引號 引用


R
Rad(rad) 拉德(輻射劑量單位)
Radiance,L 面輻射率L
radian in horizontal direction 水平方向弧度
Radiation protection equipment 輻射防護器材
Radiant existence,M 幅射照度M
Radiant flux 輻射通量
radiant power,ψe 輻射功率、ψe
Radiation 輻射
Radiation dose 輻射劑量
Radio-frequency mass spectrometer 射頻質譜儀
Radio-frequency(r-f) display 射頻顯示
Radiograph 射線底片 射線照片
Radiographic contrast 射線照片對比度 射線照片襯度
Radiographic equivalence factor 射線照相等效系數
radiographic examination 射線照相檢查
radiographic exposure 射線照相曝光
Radiographic inspection 射線照相檢測
Radiographic inspection 射線照相檢驗
Radiographic quality 射線照相質量
Radiographic sensitivity 射線照相靈敏度
Radiography 射線照相朮
Radiographic equivalence factor 射線照相等效因子 射線照相等值因數
Radiological examination 射線照相檢驗
Radiology 射線學 放射學
Radiometer 輻射計
Radiometry 輻射測量朮
Radioscopy 射線檢查法
radius 半徑
Random Radiography 隨機射線照相檢查
Range 量程 范圍 射程
ratio of max. and min. penetrated thickness 透照厚度比
raw material 原材料
Rayleigh wave 瑞利波 表面波
Rayleigh scattering 瑞利散射
Real image 實時圖像
Real-time radioscopy 實時射線檢查法
real time system 實時系統
Rearm delay time 重新延時時間
recalibration 復校 重新校准
receptacle 插座
Reciprocity law 倒易律 互易定律
Reciprocity failure 互易律失效 照相材料的光化當量差
Recording medium 記錄介質
Recovery time 恢復時間
Rectified alternating current 脈動直流電
reelpipe 卷管
Reference block 參考試塊
Reference beam 參考(光、聲)束
Reference block method 參考試塊法
Reference coil 參考線圈
Reference line method 基准線法 參考線法
Reference standard 參考標准
Reflection 反射
Reflection coefficient 反射系數
Reflection density 反射密度
Reflector 反射體
Refraction 折射
Refractive index 折射率
Refrence beam angle 參考(聲、光)束角
reinforcement of weld 加強焊縫
reinforcing pad 補強墊 增強襯板
reinforcement measure 加固措施
Reject 拒收
Rejection level 拒收水平 廢品等級
relative humidity 相對濕度
Relative permeability 相對磁導率
Relevant indication 相關指示,相關顯示
Reluctance 磁阻
Rem(rem) 雷姆(人體倫琴當量)
remaining 保持 剩余 殘存
remote field eddy current testing 遠場渦流檢測
Remote controlled testing 遙控檢測
render (焊接、油漆的)打底
Representative quality indicator 典型質量指示器
repair welding 補焊
Residual magnetic field 剩磁場
Residual magnetic technique 剩磁技朮 剩磁法
Residual magnetic method 剩磁法
Residual magnetism 剩磁
residual stress 殘余應力
resistance 電阻 阻力 阻抗
Resistance to flow 流體阻力
Resolution 分辨力
Resonance method 共振法 諧振法
Response factor 響應系數
Response time 響應時間
restoration 修理 修補
Resultant field 復合場 合成場(磁場、聲場)
Resultant magnetic field 合成磁場 復合磁場
Resultant magnetization method 組合磁化法
Retentivity 頑磁性
Reversal 反轉 逆轉
reverse side 反面
rigid steel conduit 鋼制電線管 硬鋼管
rigid conduits 剛性導管
Ring count 振鈴計數
Ring count rate 振鈴計數率
ring joint 環接, 圍緣接合
Rinse 清洗
Rise time 上升時間
Rise-time discrimination 上升時間鑒別
road crossing duct bank 平交道口管道組
Rod-anode tube 棒陽極管
round flaw 圓形缺陷

Roentgen(R) 倫琴(一種輻射照射的計量單位,相當于零攝氏度時在標准大氣壓下,一平方厘米的干燥空氣中產生電流的一個靜電單位的致電離輻射量)

Roof angle 頂角
Rotational magnetic field 旋轉磁場
Rotational magnetic field method 旋轉磁場法
Rotational scan 轉動掃查 旋轉掃查 旋轉掃描
Roughing 粗加工 粗選
Roughing line 粗真空管道 低真空管路
Roughing pump 低真空泵
rough sketch 草圖 簡略圖
RS-232 interface RS-232接口(一種常用的計算機通訊接口)


S
Safelight 暗室安全燈 安全燈
Safe Light 暗室安全燈 安全燈
Sampling probe 取樣探頭 采樣探頭
Saturation 飽和
Saturation magnetic 磁飽和
Saturation level 飽和電平
scale 刻度
scaffolding 腳手架
Scan on grid lines 格子線掃查
Scan pitch 掃查間距
Scanning 掃查
Scanning index 掃描索引 掃描指數
Scanning directly on the weld 焊縫上掃查
Scanning path 掃查軌跡 掃描路徑
Scanning sensitivity 掃查靈敏度
Scanning speed 掃查速度
Scanning zone 掃查區域
Scattared energy 散射能量
Scatter unsharpness 散射不清晰度
Scattered neutrons 散射中子
Scattered radiation 散射輻射
Scattering 散射
Scattered Porosity 分散氣孔
schedule number表示管壁厚度系列的號碼
Schlieren system 施利倫照相(條紋照相)系統
Scintillation counter 閃爍計數器
scrap material廢料
Scintillator and scintillating crystals 閃爍器和閃爍晶體
Screen 屏
Screen unsharpness 熒光(增感)屏不清晰度
Screw thread lubricant螺紋潤滑劑
Screwed Piping Joints螺扣管接頭
Screen-type film 熒光增感型膠片
SE probe SE探頭
seal welding 密封焊接
seal fitting 密封接頭, 密封配件
Seal 密封
sealing compound 電纜膏 封口膏 密封劑
secondary circuit 二次回路
Search-gas 探測氣體
search unit 探頭
Second critical angle 第二臨界角
Secondary radiation 二次射線 二次輻射 次級輻射
Secondary coil 二次線圈 次級線圈
sectorial scanning(S-scan),Sectorial Scans (S-Scans)扇形掃描
Selectivity 選擇性
Semi-conductor detector 半導體探測器
Sensitivity va1ue 靈敏度值
Sensitivity 靈敏度
Sensitivity of leak test 泄漏檢測靈敏度
Sensitivity control 靈敏度控制
Shear wave 切變波 剪切波 橫波
sequence number 序號
set 套
sequence test 順序試驗 序列試驗
shell ring (容器)筒節
Shear wave probe 橫波探頭
Shear wave technique 橫波法
Shim 薄墊片
Shot 沖擊 發射
shim plate 墊板
shield gas 保護氣體
shield jig 保護夾具
shop fabrication 車間制造
shrinkage cavity 縮孔
Side lobe 副瓣 旁瓣
Side wall 側面 側壁
Sievert(Sv) 希(沃特) (射線劑量單位)
Signal 信號
Signal gradient 信號梯度
Signal overload point 信號過載點
Signal overload level 信號過載電平
Signal to noise ratio 信噪比
silicone paint 硅樹脂漆
single line drawing 單線圖
Silver estimating paper 銀試紙(評估定影液中的銀含量)
Single crystal probe 單晶片探頭
Single probe technique 單探頭法
Single traverse technique 一次波法 直接掃描法
Sizing technique 定量法
Skin depth 集膚深度 透入深度 趨膚深度
Skin effect 集膚效應 趨膚效應
Skip distance 跨距
Skip point 跨距點 跳越點
skip welding 跳焊
Sky shine(air scatter) 天光(空氣散射)(空中散射效應)
sleeve 套筒 套管
slag 熔渣
Slag inclusion (焊縫)熔渣夾雜
Sniffing probe 嗅吸探頭
socket weld承插焊接
Soft X-rays 軟X射線
small diameter tube 小徑管
smirch 沾污 污跡
smooth file 細銼
smooth 平滑
Soft-faced probe 軟膜探頭
Solarization 負感作用
Solenoid 螺線管
Soluble developer 可溶顯像劑 溶性顯示劑
solenoid valve 螺線管閥,電磁閥
solely 單獨
solvent 溶劑
Solvent remover 溶劑去除劑 溶劑洗淨劑
Solvent cleaners 溶劑清除劑
Solvent developer 溶劑型顯像劑
Solvent-removal penetrant 溶劑去除型滲透劑
sonar 聲納
Sorption 吸著 吸附
Sound diffraction 聲繞射 聲衍射
Sound insulating layer 隔聲層
Sound intensity 聲強
Sound intensity level 聲強級
Sound pressure 聲壓
Sound scattering 聲散射
Sound transparent layer 透聲層
Sound velocity 聲速
Source 源
source-to-object distance 射線源至工件距離
source size 射線源尺寸
Source changing device 換源器(更換γ射線源的裝置)
Source data label 放射源數據標簽
Source location 源定位
Source size 源尺寸
Source-film distance 射線源-膠片距離
Spacial frequency 空間頻率
spacing 間距 間隔
Spatter (焊接)飛濺
Spark coil leak detector 電火花線圈檢漏儀
Specific activity 放射性比活度 比活性
spec 技朮規格 規格
Specified sensitivity 給定靈敏度 給定感光度
splash 飛濺物
specification 規范 規格
SPIP(a storage phosphor imaging plate)磷光體儲存成像板
spool drawing 管路圖, 管路詳圖
spot test 抽查, 當場測試
spot welding 點焊
stability test 穩定性試驗
stage 台
Stainless steel 不鏽鋼
stamping 沖壓
steam trace (加熱)蒸汽管道
Standard 標准
Standard leak rate 標准泄漏率
Standard leak 標准泄漏孔
Standard tast block 標准試塊
Standardization instrument 標准化儀器
Standing wave; stationary wave 駐波
startup 啟動
statistical forms 統計表報
stencil 模板 蠟紙
steel plate 鋼板
steel wire 鋼絲
steel seal 鋼印代號 鋼印
Step wedge 階梯楔塊
Step-wadge calibration film 階梯楔塊校准底片
Step-wadge comparison film 階梯楔塊比較底片
Stereo-radiography 立體射線照相朮
straightness accuracy 直線度
stiffening plate 補強板
stiffening rib 加強肋
stochastic 隨機
stockpile 貯存 庫存
structural attachment 結構附件
stop end 封端 停止端
straight beam method 垂直波束法
strip footing 條形基礎
stripy flaw 條形缺陷
strength test 強度試驗
Subject contrast 被檢體對比度
submerged arc-welded 埋弧焊
sputter 噴濺
substation 分站
Subsurface discontinuity 近表面不連續性 表面下缺陷
sulfur 硫 硫磺
support point 支持點
sundries 雜物
Suppression 抑制
Surface echo 表面回波
surface corrosion concentration 表面鏽蝕(腐蝕)濃度
Surface field 表面(電、磁)場
Surface noise 表面噪聲
Surface wave 表面波
Surface wave probe 表面波探頭
Surface wave technique 表面波法
surfactant 表面活性劑
Surge magnetization 脈沖磁化
Surplus sensitivity 靈敏度余量
Suspension 懸浮液 磁懸液
Sweep 掃描
Sweep range 掃描范圍
Sweep speed 掃描速度
Swept gain 掃描增益
Swivel scan 環繞掃查 旋轉掃描
System noise 系統噪聲
symmetrically 對稱
system 制度 系統


T
tachometer 轉速計
tacking 定位焊
tack welding 點焊 平頭焊接
take-off valve輸出閥
take charge of undertake 擔任
tangential magnetic field strength 切線磁場強度
Tandem scan 串列掃查
tank gauge 液面計 油箱液位計
tapered allowance 削尖余量 錐形寬容
tapping 輕敲(聲) 輕叩法 出渣 出鋼 出鐵
Target 靶 目標
tee 三通管 丁字鋼 T形梁 T形物
tee welding 丁字焊縫 T形焊接接頭
Technical Specification 技朮規格 技朮說明書
Television fluoroscopy X射線熒光電視檢查
tensile strength 抗張強度
Temperature envelope 溫度范圍
Tenth-value-layer(TVL) 十分之一值層
terminals 終端 接線端
terminal block 線弧, 接頭排 接線盒, 接線板, 線夾
terminal box 接線盒
Test coil 檢測線圈
Test quality level 檢測質量水平
Test ring 試環
Test block 試塊
test run 試運行
Test frequency 試驗頻率
Test piece 試片
test pump試驗泵
test flow chart 測試流程圖
Test range 探測范圍
Test surface 探測面
Thermal neutrons 熱中子
Thermocouple gage 熱電偶真空計
Thermogram 熱譜圖 熱譜
Thickness sensitivity 厚度靈敏度
Thermoluminescent dosemeter(TLD) 熱釋光劑量計 熱致發光劑量計
Third critiical angle 第三臨界角
Thixotropic penetrant 搖溶滲透劑
Thermal resolution 熱分辨率
Threading bar 穿棒 引料杆
Three way sort 三檔分選
Threshold setting 門限設置 閾值設定
Threshold fog 閾值灰霧
Threshold level 閥值電平
thread 螺紋
threaded pipe 螺紋管
Threading 車縲紋
Throttling 節流 節流閥
Through penetration technique 貫穿滲透法
transformer 變壓器
three phase capacitance 三相電容
Through transmission technique 透射技朮
transmission technique 穿透法 穿透技朮
Through-coil technique 穿過式線圈技朮
Throughput 通氣量 通過量
tie band 捆扎用帶材
Tight 密封
time marker 時間標志 計時器
time-shifted 時間變化
time delay 延時
toe 趾(焊趾)
tip diffraction 端點衍射
torque wrench 轉矩扳手
top plate 頂板
Total reflection 全反射
Total image unsharpness 總的圖像不清晰度
toxicity 毒性
Tracer probe leak location 示蹤探頭泄漏定位
Tracer gas 示蹤氣體
Transducer 換能器/傳感器
transference curve 傳遞曲線
Transition flow 過渡流 瞬變流動
Translucent media 半透明介質
Transmission 透射 發送
Transmission densimeter 透射式密度計
Transmission coefficient 透射系數
Transmission point 透射點
Transmission technique 透射技朮
Transmittance τ 透射率τ
Transmitted film density 底片透射黑度
Transmitted pulse 發射脈沖
Transverse resolution 橫向分辨率
Transverse wave 橫波
Traveling echo 游動回波
Traveling scan 移動掃描 橫向掃描
Triangular array 三角形陣列
Trigger/alarm condition 觸發/報警狀態
Trigger/alarm level 觸發/報警電平
Triple traverse technique 三次波法 三次反射技朮
True continuous technique 真實連續法
True attenuation 真實衰減
trunk line 干線 中繼線
Tube current (X射線管)管電流
Tube head (X射線管)管頭
Tube shield (X射線管)管罩 管屏蔽
Tube shutter (X射線管)光闌 射線管快門 射線管遮擋板
Tube window (X射線管)窗口
Tube-shift radiography 管子移位射線照相朮
tumble switch 翻轉開關 撥動式開關
tubing 敷設管道 裝管 管道系統 管形材料
Tungsten-arc Inert-Gas welding 鎢極電弧惰性氣體保護焊(縮寫:TIG)
Tungsten 鎢
Two-way sort 兩檔分選
two terminals 兩端
type 型號 類型


U
Ultra-high vacuum 超高真空
Ultrasonic leak detector 超聲波檢漏儀
Ultrasonic noise level 超聲噪聲電平
Ultrasonic cleaning 超聲波清洗
ultrasonic examination 超聲波探傷 超聲檢驗
ultrasonic calibration block 超聲標准試塊
Ultrasonic field 超聲場
Ultrasonic flaw detection 超聲探傷
Ultrasonic flaw detector 超聲探傷儀
Ultrasonic microscope 超聲顯微鏡
Ultrasonic spectroscopy 超聲頻譜
ulrasonic testing 超聲檢測
Ultrasonic testing system 超聲檢測系統
Ultrasonic thickness gauge 超聲測厚儀
ultrasonic reference block 超聲對比試塊
Ultrasonic phased arrays 超聲相控陣
Ultraviolet radiation 紫外輻射
undelivery 未交貨
undercut 底切,(焊接)咬邊
underbead cracks 焊道下裂紋
Under development 顯影不足
underfill (焊接)未填滿(凹陷)
unfitness of butt joint 錯邊量
unevenness 凹凸
ungrease treatment 脫脂處理
unit 單位
Unit price 單價
Unsharpness 不清晰 不清晰度
Useful density range 有效密度范圍
UV-A A類紫外輻射
UV-A filter A類紫外輻射濾片


V
Vacuum 真空
Vacuum cassette 真空暗盒 真空盒
Vacuum testing 真空檢測
vacuum degree leak test 真空度檢漏
valve 閥 閘門
vault 拱頂
Van de Graaff generator 范德格喇夫起電機
Vapor pressure 蒸汽壓力
Vapour degreasing 蒸汽除油 蒸汽脫脂
Variable angle probe 可變角探頭
Vee path V形行程 V形路徑
Vehicle 載體
vent 放氣孔, 通風孔
verify 校驗
verticality 鉛垂度 垂直性
Vertical linearity 垂直線性
vertical panel 豎直面板
Vertical location 垂直定位
vibration stopper 減震器
Visible light 可見光
viewing area 觀察區域(評片燈上的評片觀察區域或窗口)
Virtual limage 虛擬圖像
Voltage threshold 閾值電壓
visual inspection 外觀檢查


W
Wash station 水洗工位
Water Chiller 水冷器(X射線機的冷卻系統)
Water break test 水膜破壞試驗 斷水試驗(測定液體的潤濕性)
Water column coupling method 水柱耦合法
Water column probe 水柱耦合探頭
Water path 水程
water distance 水距
Water tolerance 水容限 耐水性 吸水量 允許含水量
Water-washable penetrant 可水洗型滲透劑
Wave 波
Wave guide acoustic emission 聲發射波導
Wave train 波列
Wave form 波形
Wave front 波前
Wave length,wavelength 波長
Wave node 波節
wave physics 波動物理學
Wedge 斜楔
weld reinforcement 焊縫補強
weld beads (焊縫)焊道
weld joint 焊縫 焊接接頭
welding rod 焊條
Welding 焊接
welding spatter 焊接飛濺
welding bead 焊珠
welded joint 焊接縫 焊接節點
welding line angular distortion 焊縫角變形
welding line 焊縫
welded steel pipe 焊接鋼管
welding wire 焊絲
welding flux 焊劑
weld bond 焊口 焊縫
welding procedure 焊接工藝
weldment 焊接件
welding torch 焊炬
welded flange 平焊法蘭 焊接翼緣
wet developer 濕顯像劑
weld bead 焊縫 熔敷焊道 焊珠
Wet slurry technique 濕漿(膏劑)法
Wet technique 濕法
Wet method 濕法
Wetting action 潤濕作用
Wetting agents 潤濕劑
Wheel type probe 輪式探頭
wheel search unit 輪式探頭
White light 白光
White X-rays 連續X射線 白色X射線
whole set 成套... 成組...
wire coil 線盤 線卷
wire connector 接線器
wire splice 接線
wire terminal 電線接頭
witnessed inspections 現場檢測
withstand voltage test 耐(電)壓試驗
Wobble 擺動
Wobble effect 抖動效應 擺動效應
Wrap around 回繞
Working sensitivity 工作靈敏度
WPS Works Process Specification 典型工藝規程
Works Process Specification 典型工藝規程
workpiece 工件


X
X-axle X軸
Xe(xenon) 氙
XCT(X-ray transcission computed tomography) X射線透射電腦斷層照像朮
xeno- ①外 ②異物
xenon(abbr.Xe) 氙
xenon lamp 氙燈泡
xenon light source 氙光源(內窺鏡用)
xero- 干燥
xerographic 靜電復印的,硒鼓復印的
xerographic puinter 靜電照像印刷機
xerography 靜電印刷朮,干印朮
xeroradiograph 干板X射線照片
xerox 硒鼓復印機
Xeroradiography 靜電影像射線照相朮 干法射線照相朮
X-radiation X射線輻射
X-gram X射線照片
X-p(X-ray photograph) X射線照像
X-particle X-粒子
XR(xeroradiography) 干板X射線照像朮
XPS(X-ray photoelectron spectroscepy) X射線光電子分光鏡檢查
X 每radiation 倫琴射線,X射線輻射
X-ray X射線,倫琴射線
X每ray apparatus X射線裝置,X光機
X-ray beam X射線束
X-ray beam collimator X射線束准直器
X-ray caliper X射線測徑尺
X-ray camera X射線照像機
X-ray cassette X射線膠片暗匣,X光底片托架
X-ray computerized tomography(abbr.CT) X線電子計算機斷層攝影
X-ray controller X射線控制器
X-ray detection apparatus X射線探測裝置
X-ray crack detector X線裂痕檢查器
X-ray crystallography X射線衍射晶體分析法
X-ray difraction X射線衍射
X-ray diffractometer X射線衍射儀
X-ray doimeter X射線劑量儀
X-ray equipment X射線設備,X光機
X-ray examination X射線檢查
X-ray film base X光片基
X-ray film box X光膠片箱
X-ray film cassette X光膠片盒
X-ray film dryer X光膠片干燥箱
X-ray film processing bucdet X光膠片洗片桶
X-ray film storage chest X光膠片儲片柜
X-ray flaw detector X射線探傷機
X-ray fluorescence logger X射線熒光記錄器
X-ray fluorescent spectrometer X射線熒光分光計
X-ray film X射線膠片
X-ray machine X射線機
X-ray fluoroscopy X射線透視
x-ray generator X射線發生器
X-ray high voltage generator X射線高壓發生器
X-ray illuminator X射線映光器,X射線觀察箱
X-ray image X射線圖像
X-ray image intensifier tube X線圖像增強管
X-ray image intensifier rapid sepuence camera X線影像增強器快速連續照像機
X-ray paper X射線感光紙
X-ray tube X射線管
X-ray tube diaphragm X射線管光闌
X-ray inspection X射線檢查
X-ray image intensifier TV umit X線影像增強電視裝置
X-ray installation X射線設備
X-ray licalization unit X射線定位儀
X-ray magnifierareader X片放大閱讀器
X-rayogram X射線照片
X-ray performance monitor 放射線劑量監測儀
X-ray photogram X光膠片,X射線照片
X-ray photograph X光膠片,X射線照片
X-ray photography X射線照像朮
X-ray photon source X射線光源
X-ray picture X射線照片
X-ray proteaiveapron X射線防護圍裙
X-ray radiography X射線攝影朮
X-ray radiometer X射線輻射計
X-ray rectifying valve X射線整流管
X-ray reflector X射線反射計
X-ray scanner X射線掃描儀
X-ray spectrograph X射線攝譜儀
X-ray spectrometer X射線分光計
X-ray spectrum X射線立體照片
X-ray stereophotography X射線立體攝影朮
X-ray stress analyzer X射線應力分析儀
X-ray television X射線電視
X-ray tomographic unit X射線斷層攝影機
X-ray TV unit X射線電視機
X-ray unit X光機,X射線裝置
XRG(xerography radiography) 干板X射線照像朮
X-Y plotter X-Y繪畫儀
xylonite 賽璐璐
X-Y recorder X-Y記錄器(用以記錄兩個變量間的關系)
X-Y tape recorder X-Y帶式記錄器


Y
Y(yttrium) 釔
yard 碼(英長度單位,等于0.9144米)
yardstick 尺度,標准 yarn 線紗,絲
Y axis Y軸,縱座標軸
Yb (ytterbium) 鐿
year 年
yellow 黃色
yellow beeswax 黃蜂蠟
yellow filter 黃色濾色鏡
yellow soft paraffin 黃軟石蠟
yellow spot 黃斑
yield 輸出,產生,給予
Y-junction Y形接頭(波導管)
Yoke 磁軛
Yoke magnetization method 磁軛磁化法
ypsiloid V字形的,倒A形的
Y-section 三通管接頭,Y形接頭
Y-shaped connector 三通接頭
ytterbium(abbr.Yb) 鐿
yttrium(abbr.Y) 釔
Y tube Y形管,三通管


Z
Zener diode 齊納二極管,穩壓二極管
zero ①零,零位 ②坐標原點
zero adjuster 零位調整器
zero adjustment 零位調整,零點調整
zero-balance bridge 零點平衡電橋
zero correction 零點校正
zerodone 水流泠卻器
zero drift 零位偏移
zeroing 零位調整
zero load 無載,空載
zero reading 零讀數
zero set 零位調整
zigzag laser 鋸齒形激光器
Zinc (abbr.Zn) 鋅
zinc plated 鍍鋅的
zinc coating 鍍鋅制品
Zigzag scan 鋸齒掃查
Zone calibration location 區域校准定位
Zone location 區域定位
zincative 負電的
zinc-mercury cell 鋅汞電池
zincode (電池的)鋅極
zinc oxide 氧化鋅
zincum (abbr.Zn) 鋅
zirconium(abbr.Zr) 鋯
Zn(zinc) 鋅
zone 區域,范圍,帶
zoom ①圖像電子放大 ②變焦距
zoomar 可變焦距透鏡系統(電視)
zoomar lens 可變焦距透鏡,可變焦距物物鏡
zoom lens 變焦鏡頭
zoom stereo-microscope 可變焦距實體顯微鏡
Zr (zirconium) 鋯

沒有留言:

張貼留言